next up previous contents index
Next: Layout Editing Up: A Quick Tour of Previous: The Help System   Contents   Index

Cadence Virtuoso and OpenAccess Compatibility

Xic can read and write design data to an OpenAccess database, but OpenAccess is not required. Xic can read and use ASCII technology and DRF files intended for Cadence Virtuoso and other similar tools, as provided by chip foundries. Xic can read schematic, symbol, and layout views produced by Cadence, and to varying degrees, obtain a working, simulatable cell hierarchy. Presently, it is not possible to write back schematic information to Cadence without corruption.

Xic supports Ciranova/Synopsys portable Python-based parameterized cells, and provides support for abutment and stretch handles in native parameterized cells.



Stephen R. Whiteley 2022-05-28